.. : 5 + Yrs Notice Period : 1 month or less Bachelor's degree in Computer Science, Electrical Engineering, or a related field. 5 years of experience in BIOS & Firmware development, with a focus on x86 architecture. Proficiency in C/C++ programming languages. Experience with UEFI BIOS development is highly .. read more
Hiring, Post :
Account Manager Location:
Bangalore, Delhi Sales experience:
3+ years
Value Point Systems a Noventiq is hiring! Noventiq is a leading global solutions and services provider in digital transformation and cybersecurity, headquartered and listed in London. The company enables, facilitates and accelerates digital transformation for its customers’ businesses, connecting 75,000+ organizations from all sectors with hundreds of best-in-class IT vendors, alongside its own services and solutions.
Sales hiring for
- IT Managed Services
- Data Centre Modernisation
- Network Transformation
- Digital Work place
- Enterprise Sales
Interested candidate can forward cv to jyoti.patil@valuepointsystems.com
Other job offers that may interest you
BengaluruBiocon BiologicsToday
.. and aspires to transform patient lives through innovative and inclusive Healthcare solutions. The company has a large portfolio of biosimilars under global .. strong partnership offers enormous opportunities to co-create the future of Healthcare, building a patient ecosystem beyond the product, which can transform .. read more
BengaluruTrilyon, Inc.Today
.. skills. - Working experience with Git and GitHub publishing processes. - Good understanding of markup languages such as HTML and Markdown. Preferred: - Understanding of the data lifecycle and its associated products and services. - Experience working on data infrastructure-related products. - Good understanding .. read more
BengaluruTech MahindraToday
.. distributed version control systems: Git/SVN - Experience using collaboration tools: Azure DevOps, Asana, Jira - Cloud based application development: MS Azure, AWS, Google Cloud - Business analytics knowledge is a big plus: Power BI, Azure Analysis Services Tableau, Anaplan Nice to have: - Responsive .. read more
BengaluruCorrohealthToday
.. management, focusing on employee engagement and retention rates. Benefits Administration: Oversee the Administration of employee benefits, including health .. present HR reports and metrics to management, focusing on employee engagement and retention rates. Benefits Administration: Oversee the administration .. read more
BengaluruAlliance UniversityYesterday
.. duties as assigned by the college. Qualifications & Experience: Education: A Master's degree in Physics/Chemistry/Mathematics/Biology/Economics/Accounting (or a related field) is required. A B.Ed. or equivalent teaching certification is preferred. Experience: Prior teaching experience in a Pre-University .. read more
BengaluruMulya TechnologiesToday
.. object-oriented programming skills in C++/SystermVerilog, scripting languages like Python/Perl. Verification knowledge in Clock domain crossing and reset domain crossing Knowledge of functional verification methodology like UVM/OVM Knowledge of HDLs (VHDL/Verilog) Developed test plans and test strategies .. read more
BengaluruValuelabsYesterday
.. acquisition. Requirements: Bachelor's degree in Human Resources, Business Administration, or a related field. Minimum 5-7 years of experience in recruitment, talent .. accurate and up-to-date records and reports, including candidate pipelines, recruitment metrics, and talent acquisition dashboards. Provide regular recruitment .. read more
BengaluruMulya Technologies2 days ago
.. multiple tasks, managing/planning requirements in multiple domains and to work with little guidance and supervision Knowledge of industry-standard protocols, how to use revision control systems and software CICD / regression infrastructure Entrepreneurial and driven attitude always putting the customer .. read more
BengaluruBiocon BiologicsToday
.. & development capabilities to lower treatment costs while improving Healthcare outcomes. Intentional curiosity and an innate entrepreneurial scientific .. 6500 people across the world innovating, creating, and delivering quality Healthcare collaboratively, while employing the highest levels of ethics and integrity, .. read more
BengaluruMulya TechnologiesToday
.. including RTL design, synthesis, timing analysis. Ability to self-verify RTL blocks/top level before handing off to the DV team is necessary. Exposure to Analog digital interfaces and physical layer development for standards like ethernet, USB3, MIPI is highly preferred. Exposure to STA, DFT and physical .. read more
BengaluruEvalueserve IndiaToday
.. using Data solutions & AI Applications in a variety of industries including Healthcare, Media, BFSI, CPG, Retail, and many others. Travel to customer sites, .. develop creative solutions to complex business problems. Planning and executing both short-term and long-range projects and managing teamwork, and client .. read more
BengaluruWiproYesterday
.. delivery. - Must Have - At least 6 yrs of development experience (no Administration) on ServiceNow platform - At least 2 yrs of integrations experience with .. : Customize ServiceNow applications, including screen tailoring, workflow Administration, and report setup. - Integration: Integrate ServiceNow with third-party .. read more
BengaluruMulya TechnologiesToday
.. flow Excellent understanding of SystemVerilog Knowledge of SystemVerilog assertions preferred Familiarity with place and route tool flow using Innovus preferred but not mandatory Basic understanding of digital signal processing – MATLAB understanding preferred Extensive experience with synthesis flow .. read more
BengaluruEveriseToday
.. on delivering cutting-edge solutions with speed and agility. As the Head of Engineering, you will oversee all aspects of engineering, including full-stack development, infrastructure systems, databases, QA, and team management. You will collaborate closely with the Head of Product Management to bring .. read more
BengaluruTech MahindraToday
.. toolset: KeyVault, Azure Storage, Queues, Blob - Unit testing: NUnit, Moq, jest - Experience using distributed version control systems: Git/SVN - Experience using collaboration tools: Azure DevOps, Asana, Jira - Cloud based application development: MS Azure, AWS, Google Cloud - Business analytics knowledge .. read more
BengaluruTonbo ImagingToday
.. : Experience in industries such as robotics, autonomous vehicles, Healthcare, or augmented reality/virtual reality. Publications and Patents : .. Technical Skills : Proficiency in programming languages such as Python, C++, or Java. Experience with computer vision libraries and frameworks like OpenCV .. read more
BengaluruAerosapien Technologies ™Today
.. helipads and regional airports. We are a specialized team of engineers ranging from aerospace, automotive, mechanical, electrical, and software. We are passionate about providing solutions to challenging real-world problems. Follow us on: https: //**/company/aerosapien-technologies/mycompany/ Procurement .. read more
BengaluruAeries TechnologyToday
.. technologies and tools; networking, advanced operating systems (Windows/MacOs), JavaScript, HTML, CSS, Splunk, Salesforce, Quickbase. Familiarity with cloud platforms (e.G., AWS, Azure), software troubleshooting, network systems, or SaaS products. Tangible troubleshooting experience- not following a .. read more
BengaluruEverise2 days ago
.. Skills: Proven ability to lead and inspire teams, manage complex projects, and deliver results in a fast-paced, dynamic environment. Technical Acumen: Strong understanding of AI, machine learning, natural language processing, and data science, with experience in applying these technologies to real-world .. read more
BengaluruTribbleToday
.. of form and function. The Lead Full Stack Developer will play a crucial role in the evolution of our platform. If you're ready to roll up your sleeves and contribute to an innovative team working on cutting-edge AI technologies, apply today to join Tribble. We're excited to see what you'll bring to our .. read more
BengaluruMulya TechnologiesYesterday
.. verification. Experience with digital verification aspects such as constrained random verification, functional coverage, code coverage, assertions, methodology & philosophy. Expert in System Verilog, Verilog, and OVM / UVM verification methodology. Have working experience on AMBA interface protocols .. read more
BengaluruAxiscadesYesterday
.. leadership on all aspects of cyber operations and cyber security affecting security and critical infrastructure; WHAT YOU’LL NEED Basic: - Minimum of a Bachelor degree in a technological engineering or computer science; - Minimum of 5 years of related experience in automotive area (Such as: security .. read more
BengaluruAcl DigitalYesterday
.. clear communication. Technical Requirements: Core Skills: Experience in software or app development using Python. Proficiency in Big Data frameworks and handling large datasets. Good to Have: Programming experience: Spark/PySpark, Kafka Data Lake and Workflow Management: AWS S3, AWS Glue, Delta Lake .. read more
BengaluruMulya TechnologiesToday
.. complex SoCs (System-On-Chip) and CoWoS (Chip-On-Wafer-On-Substrate) designs. Experience in SoC and IP/Block level scan insertion and ATPG, simulation of zero delay and SDF annotated test sequences. Experience in scripting/reviewing SCAN/MBIST timing constraints. Developing DFT rule bases and DFT-DRC .. read more
BengaluruDatabuzz LtdToday
.. Desired Characteristics CMS migration experience Experience in the Healthcare industry Manage deployments to staging and production with technology team .. architectural gaps and or issues and help guide the team toward resolutions Diagnose and solve technical problems related to content management Create .. read more
BengaluruEveriseToday
.. a platform that provides real-time language translation using voice-based AI systems . This role requires a strategic thinker with a deep understanding of voice technology, AI, and UX/UI design. The ideal candidate should have a minimum of 10 years of experience in leading product development lifecycles .. read more
BengaluruMulya TechnologiesToday
.. and mentor team members, represent as Logic Design Lead in global forums. Required Technical and Professional Expertise 8 or more years of demonstrated experience in architecting and designing Execution unit of CPU Hands on experience of implementing Arithmetic/Crypto/SIMD functions Deep expertise in .. read more
BengaluruHerbalife International India Pvt. Ltd.Yesterday
.. experience. Having experience in Big 4 Audit Firm or Big 4 Audit Firm + Mfg/Retail Industry Experience Experience in Audits, Financial Statements, month close .. Review aged balance in GLs and corrective action for clearing the balances. Comply with IFC and SOX controls. Implement best practices and process improvements .. read more
BengaluruTalentiserToday
.. analytical skills. ○ Solid System Design skills to able to take a problem statement and conceptualize solutions for the same ○ Excellent communication and presentation abilities. ○ Deep understanding of SaaS business models, enterprise customer needs, and the competitive landscape. ○ Ability .. read more