.. for BIOS Development Location : Bangalore Exp : 5 + Yrs Notice Period : 1 month or less Bachelor's degree in Computer Science, Electrical Engineering, or a related field. 5 years of experience in BIOS & Firmware development, with a focus on x86 architecture. Proficiency in C/C++ programming languages .. read more
NetSuite Consultant Job Description: What would you do exactly ? Participate in full-cycle NetSuite implementation projects from requirements gathering (inception) to post-go-live support (completion) Participate in business process mapping and requirements gathering sessions with new customers (both remote and onsite) Understand customer needs and participate in gap analysis between system functionality and customer requirements Participate in Business Requirements and Technical Scoping documentation resulting from the mapping sessions to provide proposed solutions for customer approval Participate in implementation effort estimation activities Implement and deploy NetSuite solutions following industry-specific business needs, processes and regulatory requirements Participate in test case creation, test planning and user acceptance testing session Troubleshoot issues that arise during configuration sessions Provide ongoing post-implementation support to optimize user adoption and NetSuite configuration Participate in regular communication with customer SMEs (Subject Matter Experts) over the phone or in person, attend project meetings and provide status on the progress of activities and deliverables Act as a trusted advisor for both customers and internal teams and provide need-based consulting and general assistance
Qualifications for Intermediate Level (1 vacancy): 2+ years' experience as a Netsuite Consultant, handling implementations. Bachelor’s Degree in Business Administration, Accounting, Computer Science, Information Systems, or a related field. English Proficiency C1 - Advanced Excellent oral and written communication and presentation skills Experience handling customer relationships Having experience with waterfall and agile methodologies would be a valuable asset
Qualifications for Intermediate Level (1 vacancy): 2+ years' experience as a Netsuite Consultant, handling implementations. Bachelor’s Degree in Business Administration, Accounting, Computer Science, Information Systems, or a related field. English Proficiency C1 - Advanced Excellent oral and written communication and presentation skills Experience handling customer relationships Having experience with waterfall and agile methodologies would be a valuable asset
Other job offers that may interest you
BengaluruBiocon BiologicsToday
.. and aspires to transform patient lives through innovative and inclusive Healthcare solutions. The company has a large portfolio of biosimilars under global .. strong partnership offers enormous opportunities to co-create the future of Healthcare, building a patient ecosystem beyond the product, which can transform .. read more
BengaluruTrilyon, Inc.Today
.. address documentation questions for specific projects. Mandatory: - Bachelor's Degree in English or CS. - experience in developing, structuring, and designing concise and clear content - Ability to work efficiently in a fast-paced environment with changing priorities. - Self-starter who takes initiative .. read more
BengaluruTech MahindraToday
.. hands-on working experience - Degree in a technical field and equivalent work experience - Proven experience developing large Web applications, SaaS solutions - Experience working with Microsoft technology Web tack: C#, .NET Core - Expert level in at least in one of the following technologies: Angular .. read more
BengaluruCorrohealthToday
.. management, focusing on employee engagement and retention rates. Benefits Administration: Oversee the Administration of employee benefits, including health .. all talent management practices are in compliance with labor laws and company policies. HR Metrics: Prepare and present HR reports and metrics to management .. read more
BengaluruAlliance UniversityYesterday
.. with academic concerns, career guidance, and personal development. Stay updated with the latest educational trends and incorporate innovative teaching methodologies. Administrative Tasks: Maintain accurate records of student attendance, grades, and assessments. Participate in the preparation of academic .. read more
BengaluruMulya TechnologiesToday
.. micro-processors used servers. Lead the development of the verification plans, environment, testbenches and writing testcases for the Cache Coherency Transport Interconnect Fabric in Server Processors. Develop skills in Functional verification tools and methodologies. Work with design as well as other .. read more
BengaluruValuelabsYesterday
.. acquisition. Requirements: Bachelor's degree in Human Resources, Business Administration, or a related field. Minimum 5-7 years of experience in recruitment, talent .. responsible for designing, implementing, and executing recruitment strategies to attract, select, and hire top talent for various roles across the organization .. read more
BengaluruMulya Technologies2 days ago
.. compilers and build systems like Github, Make, GCC Hands on firmware/software experience with one of SerDes/Ethernet PHY/Infiniband/PCIe PHY, UCIe, DDR, HBM industry protocols "Hybrid work environment" As part of our commitment to the well-being and satisfaction of our employees, we have designed a comprehensive .. read more
BengaluruBiocon BiologicsToday
.. & development capabilities to lower treatment costs while improving Healthcare outcomes. Intentional curiosity and an innate entrepreneurial scientific .. 6500 people across the world innovating, creating, and delivering quality Healthcare collaboratively, while employing the highest levels of ethics and integrity, .. read more
BengaluruMulya TechnologiesToday
.. lead, you will play a key role in the design and development of complex ASICs and System-on-Chip architectures for various electronic devices. You will be responsible for RTL design, establishing good design practices, translating micro-architecture to efficient RTL, optimizing performance, power efficiency .. read more
BengaluruEvalueserve IndiaToday
.. using Data solutions & AI Applications in a variety of industries including Healthcare, Media, BFSI, CPG, Retail, and many others. Travel to customer sites, .. Applying the right analysis frameworks to develop creative solutions to complex business problems. Planning and executing both short-term and long-range .. read more
BengaluruWiproYesterday
.. delivery. - Must Have - At least 6 yrs of development experience (no Administration) on ServiceNow platform - At least 2 yrs of integrations experience with .. : Customize ServiceNow applications, including screen tailoring, workflow Administration, and report setup. - Integration: Integrate ServiceNow with third-party .. read more
BengaluruMulya TechnologiesToday
.. route flow interface Deep understanding of constraints, especially for mixed-signal designs, including multiple clock domains and clock gating Familiarity with timing closure and static timing analysis tools with the MMMC modes Experience with scan chain vector generation and verification Experience .. read more
BengaluruEveriseToday
.. systems, databases, QA, and team management. You will collaborate closely with the Head of Product Management to bring product visions to life and ensure our technology stack supports our strategic goals. Principle Accountabilities (Key Result Areas) Outsourced development / Working with outside teams .. read more
BengaluruTech MahindraToday
.. Web tack: C#, .NET Core - Expert level in at least in one of the following technologies: Angular, React - Expert level Web applications development using Typescript - Solid working kn[[owledge of relational database development: MS SQL/SQL Azure, EF 6, EF Core - Familiarity with basic Azure toolset: .. read more
BengaluruTonbo ImagingToday
.. : Experience in industries such as robotics, autonomous vehicles, Healthcare, or augmented reality/virtual reality. Publications and Patents : .. a talented and experienced Computer Vision Engineer to join our innovative team. The ideal candidate will have a strong background in computer vision .. read more
BengaluruAerosapien Technologies ™Today
.. and future business needs are aligned. 3. Collaborate with supporting resources (Engineering, Quality, Global Supply Chains, Logistics, and Regional Teams) to achieve plan, ensure adequate cycle time to procure materials, and escalate critical materials from supplier based to meet customer demands. 4 .. read more
BengaluruAeries TechnologyToday
.. are advantageous. Problem-Solving Skills: Strong analytical skills to troubleshoot and resolve technical issues efficiently. Knowledge of Support Tools: Ticketing Systems: Experience with common support ticketing systems (e.G., Salesforce, Zendesk, ServiceNow, Jira). Remote Support Tools: Familiarity .. read more
BengaluruEverise2 days ago
.. Customer Focus: Engage with customers, partners, and industry experts to gather feedback on AI features, ensuring our products meet market needs and exceed customer expectations. Data-Driven Decision Making: Making: Leverage AI analytics and customer feedback to inform product decisions and prioritize .. read more
BengaluruTribbleToday
.. (at least one of: AWS, GCP, Azure). Coding requires proficiency in both Typescript and Python. In this role, you will be given autonomy to solve challenging problems on the bleeding edge of Generative AI use-cases. You will work closely with our VP of Technology & Product, and the rest of the engineering .. read more
BengaluruMulya TechnologiesYesterday
.. to AI to the metaverse and much more. Our technology powers product innovation in the most data-demanding industries today, including data centers, networking, storage, artificial intelligence, 5G wireless infrastructure, and autonomous vehicles. Customers partner with us for mission-critical data communication .. read more
BengaluruAxiscadesYesterday
.. security/ reliability; - Advising cybersecurity leadership on all aspects of cyber operations and cyber security affecting security and critical infrastructure; WHAT YOU’LL NEED Basic: - Minimum of a Bachelor degree in a technological engineering or computer science; - Minimum of 5 years of related .. read more
BengaluruAcl DigitalYesterday
.. develop, and maintain scalable and efficient data platforms. Develop and sustain data pipelines using Python and big data frameworks. Employ Data Lake technologies for the effective management and orchestration of data workflows. Work with diverse data formats to ensure optimal storage and retrieval .. read more
BengaluruMulya TechnologiesToday
.. IP/block and SoC level scan insertion flows and script ATPG retargeting procedures. Creating automated QoR checks for implementation quality control. Write static timing constraints, create waivers, and devise flows for bullet proof timing checks Hiring, training and leading DFT engineers in daily tasks .. read more
BengaluruDatabuzz LtdToday
.. Desired Characteristics CMS migration experience Experience in the Healthcare industry Manage deployments to staging and production with technology team .. complexity of projects over time Solid understanding in Core Java Servlet JSP and REST API Solid grasp developing web applications templates and components .. read more
BengaluruEveriseToday
.. Product Manager to lead the development of a platform that provides real-time language translation using voice-based AI systems . This role requires a strategic thinker with a deep understanding of voice technology, AI, and UX/UI design. The ideal candidate should have a minimum of 10 years of experience .. read more
BengaluruMulya TechnologiesToday
.. area and wire usage for the feature. Develop micro-architecture, Design RTL, Collaborate with other Core units, Verification, DFT, Physical design, Timing, FW, SW teams to develop the feature Signoff the Pre-silicon Design that meets all the functional, area and timing goals Participate in post silicon .. read more
BengaluruHerbalife International India Pvt. Ltd.Yesterday
.. experience. Having experience in Big 4 Audit Firm or Big 4 Audit Firm + Mfg/Retail Industry Experience Experience in Audits, Financial Statements, month close .. month close, reporting and audit. Candidate needs to have hands on experience with month closure, preparation of financial statements & audit completion .. read more
BengaluruTalentiserToday
.. in Bengaluru. Qualifications: ● Experience: ○ 7+ years of product management experience in the B2B Enterprise SaaS space. ○ Proven track record of successfully managing and launching complex software products. ○ Experience working with cross-functional teams in an agile environment. ● Skills: .. read more