AnandMaruti PolymersToday
.. an experienced Senior Chemist with expertise in Alkyd, Acrylic, and Amino Resins to join our team at Ravdapura production site. The ideal candidate would have a minimum of 10 years of experience in the field with additional coatings, paints, or related industries and a deep understanding of resin formulation ..
read more AnandDbs BankToday
.. branch operations and reporting Support the internal and external audit team in carrying out the periodic branch audit; Formulate action plans to address the deviations found in audit Provide guidance to branch personnel on effective customer relationship management, sales, customer service and compliance ..
read more AnandDbs Bank27 days ago
.. branch operations and reporting Support the internal and external audit team in carrying out the periodic branch audit; Formulate action plans to address the deviations found in audit Provide guidance to branch personnel on effective customer relationship management, sales, customer service and compliance ..
read more AnandMaruti Polymers5 days ago
.. an experienced Senior Chemist with expertise in Alkyd, Acrylic, and Amino Resins to join our team at Ravdapura production site. The ideal candidate would have a minimum of 10 years of experience in the field with additional coatings, paints, or related industries and a deep understanding of resin formulation ..
read more AnandDbs BankToday
.. branch operations and reporting Support the internal and external audit team in carrying out the periodic branch audit; Formulate action plans to address the deviations found in audit Provide guidance to branch personnel on effective customer relationship management, sales, customer service and compliance ..
read more AnandMaruti PolymersYesterday
.. an experienced Senior Chemist with expertise in Alkyd, Acrylic, and Amino Resins to join our team at Ravdapura production site. The ideal candidate would have a minimum of 10 years of experience in the field with additional coatings, paints, or related industries and a deep understanding of resin formulation ..
read more AnandTruelancer.comToday
.. Gurgaon, Chennai Key Responsibilities: Develop robust and user-friendly front-end applications using Angular. Design and implement scalable, secure, and high-performing back-end systems with Java. Collaborate with cross-functional teams, including product managers, UX/UI designers, and back-end developers ..
read more AnandParle Agro Pvt LtdToday
.. vendors and developing new and better vendors while retaining the existing vendors. Final negotiations with all vendors to work out the best possible rates. Streamlining SAP processes and ensuring its smooth functioning Ensuring minimum variance of packaging material at all the locations Centralized ..
read more AnandFuturea4 Unlocking Possibilities!Yesterday
.. Develop application code and unit test in the Rest Web Services, Spring, Spring Boot, Java-J2EE and related open source technologies Develop User interfaces for Modern Rich Internet Applications with the latest NodeJS based Front End Technologies like React , Stencil, Angular or Vue. Well versed with ..
read more AnandZyvkaToday
.. Acquisition Specialist will be responsible for full-life cycle recruiting, hiring, employer branding, interviewing, and overall recruitment activities. The role involves collaborating closely with hiring managers and utilizing Zyvka's network of recruitment partners to meet hiring goals efficiently ..
read more AnandHdfc SecuritiesYesterday
.. private sector bank. It has been serving a diverse customer base of
Retail and institutional investors since ** Headquartered in Mumbai, it offers an .. our valued customers. If this sounds exciting to you, come join us! Years of Experience : 4 - 10 years Location - Mumbai (MIDC, Andheri) As a Brand manager ..
read more AnandConsider DoneToday
.. for social media content creation, social media marketing, writing, and communication tasks to support and enhance our clients' online presence and engagement. Qualifications Social Media Content Creation and Social Media Marketing skills Strong writing and communication skills Experience in managing ..
read more AnandDelphic (south Asia)Today
.. Job Responsibilities Java coding (Expert) Core Java (Expert) OOP concept (Expert) Spring Boot hibernate microservices Multi-threading (Expert) No SQL Database (Basic) Kafka (Basic) ..
read more AnandAdfactors PrToday
.. tailored to different industry verticals. Coordinate with content creators to ensure delivery of compelling narratives and corporate stories. Business Development: Identify and pursue new business opportunities by leveraging digital services. Build and nurture relationships with potential clients to ..
read more AnandRapidoToday
.. premier scientific institute like ISI,IISC, IIIT, NIT etc Passion for understanding business problems and trying to address them by leveraging data - characterized by high-volume, high dimensionality from multiple sources Experience with building predictive statistical, behavioral or other models via ..
read more AnandRisa HospitalityYesterday
.. promotional campaigns to attract new customers and retain existing ones. Customer Feedback: Regularly gather customer feedback through surveys, online reviews, and direct interactions to identify areas for improvement. 3. Sales and Revenue Growth Revenue Targets: Develop and monitor strategies to meet ..
read more AnandMulya TechnologiesYesterday
.. processes (Crosstalk delay, noise glitch, POCV, IR-STA). · Proficient in scripting (TCL, Perl, Python, csh/bash). · Problem solver, Efficient written and verbal communication, Excellent organization skills and Mentorship quality. · Self starter and highly motivated. · Ability to work cross-functionally ..
read more AnandUnrester Technologies Pvt LtdYesterday
.. range of companies, with a focus on providing business services at an international level. Role Description This is a full-time remote role for a Senior Content Writer at Unrester Technologies Pvt Ltd. The Senior Content Writer will be responsible for web content writing, content strategy development ..
read more AnandPanamax InfotechYesterday
.. support SLA, ATR, etc. to increase CSAT and customer retention. Preparing and sharing reports related to Project Portfolio Preparing and sharing reports related to Payment milestones of Projects and Support Revenue Tracking and sharing reports sent by Project and Support teams to customers related to ..
read more AnandQaagility TechnologiesYesterday
.. Responsibilities: Collaborate with a flexible team of highly experienced SAP PO/CPI developers to create integrations using SAP PO/CPI, adhering to company's quality system procedures and implementation standards. Develop integrations for various projects across different business areas of the company ..
read more AnandNovatrYesterday
.. help them realize their true potential. The AEC (Architecture-Engineering-
Construction) industry is on the brink of a technological revolution, and we take pride .. ? The DevOps Engineer position, specifically with expertise in design,
Administration, high availability, operational support, and automation experience will ..
read more AnandJyodha Innovations Private LimitedYesterday
.. The Social Media Marketing Specialist will be responsible for developing and implementing social media strategies, creating engaging content, analyzing metrics, and managing social media accounts. Qualifications Familiarity with social media platforms and trends Strong written and verbal communication ..
read more AnandInteliwavesYesterday
.. . We are supporting client across multiple industries that includes,
Retail, CPG, Chemical, Oil & Gas, Textile, Auto Ancillary. We are expanding our .. technology. Define complex technical requirements for ongoing systems maintenance and future functionality to meet requirements and improve system efficiency ..
read more AnandMarsh MclennanYesterday
.. through retention of annuity clients as well as new client acquisition We will count on you to: Ensure successful delivery of projects thorough effective project management & service delivery Build market insights for clients from existing work Client engagement/thought leadership actions in each of ..
read more AnandNovac Technology SolutionsYesterday
.. solutions Responsibilities: Develops Application Training specific to instructional content for web-based training materials. Participates in the needs assessment, design and development of product and role specific curriculum road maps and high-level designs. Functions on curriculum teams and independently ..
read more AnandInfinitive Host Technologies Pvt Ltd2 days ago
.. . The Linux Server Administrator will be responsible for server and system
Administration, troubleshooting technical issues, providing technical support, and .. various operating systems. Qualifications Server
Administration and System
Administration skills Troubleshooting and Technical Support experience Proficiency in ..
read more AnandTessolveYesterday
.. to effectively work with cross functional teams across geographies are required Looking for smart and enthusiastic Engineer to develop Design for Testability . Primary Skills : ATPG /SCAN /MBIST/JTAG and Tessent/Tetramax /Modus/Genus/DFTmax/SSN/SMS please share or refer to below mail id **@tessolve.com ..
read more AnandMulya TechnologiesYesterday
.. work with an elite team of physical design implementation engineers and have personal design responsibility, including synthesis, floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff ..
read more AnandLens CorporationYesterday
.. interface Knowledge of JS Frameworks like AngularJS, ReactJS, and Vue.js Experience in mobile application integration (native iOS/Android) with a track record in React Native projects is preferable Good understanding of mobile device variants, capabilities, and constraints Experience in Video and media-based ..
read more AnandRtelcaToday
.. Overview</strong></p><p>We are seeking a skilled and detail-oriented Audit Executive to join our team at R. S. Patel & Co., a leading financial services firm based in Ahmedabad. As an Audit Executive, you will be responsible for conducting audits, assessing financial statements, and ..
read more