.. + Yrs Notice Period : 1 month or less Bachelor's degree in Computer Science, Electrical Engineering, or a related field. 5 years of experience in BIOS & Firmware development, with a focus on x86 architecture. Proficiency in C/C++ programming languages. Experience with UEFI BIOS development is highly .. read more
eInfochips (An Arrow Company) Hiring Physical Design Engineer Experience - 4+ Years Location- Bangalore/Hyderabad/Ahmedabad/Pune/Noida/Chennai
Job description is as below:
- Block level Physical Design Implementation from RTL to GDSII or Netlist to GDSII,
- Block level Physical Signoff,
- Block level Timing Signoff and ECO generation.
- Block level Power signoff.
- Good skill on Automation (Perl/Tcl/Awk/Python)
- Able to provide technical guidance to Junior Engineer and lead 4-6 engineers.
- Must have led small project team.
- Good in communication skill as he/she would be single point of contact for client.
- NO WORK FROM HOME.
Other job offers that may interest you
BengaluruBiocon BiologicsToday
.. and aspires to transform patient lives through innovative and inclusive Healthcare solutions. The company has a large portfolio of biosimilars under global .. strong partnership offers enormous opportunities to co-create the future of Healthcare, building a patient ecosystem beyond the product, which can transform .. read more
BengaluruTrilyon, Inc.Today
.. writing that they have done beyond and above API docs. While API docs are an integral part of the Technical Writing portfolio, they don't always best reflect the candidate's writing skills. Position: Sr Technical Writer- Developer documentation Technical writing, preferably with a focus on developer .. read more
BengaluruTech MahindraToday
.. using Typescript - Solid working kn[[owledge of relational database development: MS SQL/SQL Azure, EF 6, EF Core - Familiarity with basic Azure toolset: KeyVault, Azure Storage, Queues, Blob - Unit testing: NUnit, Moq, jest - Experience using distributed version control systems: Git/SVN - Experience .. read more
BengaluruCorrohealthToday
.. management, focusing on employee engagement and retention rates. Benefits Administration: Oversee the Administration of employee benefits, including health .. communication and interpersonal skills. Proficiency in MS Office (Word, Excel, PowerPoint). Attention to detail and the ability to handle confidential .. read more
BengaluruAlliance UniversityYesterday
.. engaging learning environment, and preparing students for university entrance exams and board exams. The teacher will also participate in the overall development of the students, ensuring their academic and personal growth. Job Location : Anekal - Sarjapura Road Key Responsibilities: Curriculum Delivery: .. read more
BengaluruMulya TechnologiesToday
.. Verification knowledge in Clock domain crossing and reset domain crossing Knowledge of functional verification methodology like UVM/OVM Knowledge of HDLs (VHDL/Verilog) Developed test plans and test strategies for IP/unit/block level verification of Coherency Transport Interconnects Development experience .. read more
BengaluruValuelabsYesterday
.. acquisition. Requirements: Bachelor's degree in Human Resources, Business Administration, or a related field. Minimum 5-7 years of experience in recruitment, talent .. their recruitment needs. Responsibilities: Design, implement, and execute recruitment strategies to attract, select, and hire top talent for various roles .. read more
BengaluruMulya Technologies2 days ago
.. protocols such as PCIe/Ethernet/CXL/HBM/DDR/UCIe. The core objectives of the role are to deploy our proven software and firmware development methodologies to new IPs, Subsystems and ASICs and contribute to the overall system and functional validation. You will design software and firmware test plans .. read more
BengaluruBiocon BiologicsToday
.. & development capabilities to lower treatment costs while improving Healthcare outcomes. Intentional curiosity and an innate entrepreneurial scientific .. 6500 people across the world innovating, creating, and delivering quality Healthcare collaboratively, while employing the highest levels of ethics and integrity, .. read more
BengaluruMulya TechnologiesToday
.. synthesis, timing analysis. Ability to self-verify RTL blocks/top level before handing off to the DV team is necessary. Exposure to Analog digital interfaces and physical layer development for standards like ethernet, USB3, MIPI is highly preferred. Exposure to STA, DFT and physical design is highly .. read more
BengaluruEvalueserve IndiaToday
.. using Data solutions & AI Applications in a variety of industries including Healthcare, Media, BFSI, CPG, Retail, and many others. Travel to customer sites, .. intelligence, digital marketing, advanced analytics, technology, and product engineering. Our more tenured teammates, some of whom have been with Evalueserve .. read more
BengaluruWiproYesterday
.. delivery. - Must Have - At least 6 yrs of development experience (no Administration) on ServiceNow platform - At least 2 yrs of integrations experience with .. : Customize ServiceNow applications, including screen tailoring, workflow Administration, and report setup. - Integration: Integrate ServiceNow with third-party .. read more
BengaluruMulya TechnologiesToday
.. for complex ASICs Hands on experience with the Verilog RTL coding including state machines, adders, multipliers, combinatorial logic, etc Strong understanding of digital design for mixed signal control loops and designing Verilog code to control analog circuits (e.G. digital backend for ADC, digital .. read more
BengaluruEveriseToday
.. stakeholders and present complex information clearly. Knowledge Education: Bachelor’s degree in computer science, Engineering, or a related field; advanced degree preferred. Experience: 12+ years of experience in software engineering, with at least 5 years in a leadership role overseeing engineering .. read more
BengaluruTech MahindraToday
.. Azure toolset: KeyVault, Azure Storage, Queues, Blob - Unit testing: NUnit, Moq, jest - Experience using distributed version control systems: Git/SVN - Experience using collaboration tools: Azure DevOps, Asana, Jira - Cloud based application development: MS Azure, AWS, Google Cloud - Business analytics .. read more
BengaluruTonbo ImagingToday
.. : Experience in industries such as robotics, autonomous vehicles, Healthcare, or augmented reality/virtual reality. Publications and Patents : .. Creativity and a passion for innovation. Preferred Qualifications: Experience with Hardware Integration : Knowledge of integrating computer vision solutions .. read more
BengaluruAerosapien Technologies ™Today
.. cargo transport. With the ability to operate using existing helipads and regional airports. We are a specialized team of engineers ranging from aerospace, automotive, mechanical, electrical, and software. We are passionate about providing solutions to challenging real-world problems. Follow us on: https: .. read more
BengaluruAeries TechnologyToday
.. in the USA, Mexico, Singapore, and Dubai. We provide mid-size technology companies with the right mix of deep vertical specialty, functional expertise, and the right systems & solutions to scale, optimize and transform their business operations with unique customized engagement models. Aeries is Great .. read more
BengaluruEverise2 days ago
.. Customer Focus: Engage with customers, partners, and industry experts to gather feedback on AI features, ensuring our products meet market needs and exceed customer expectations. Data-Driven Decision Making: Making: Leverage AI analytics and customer feedback to inform product decisions and prioritize .. read more
BengaluruTribbleToday
.. engineering team, implementing key features of the Tribble platform. What We Offer: Competitive Salary & Benefits: Attractive compensation package, enriched with bonuses, stock options, and comprehensive health insurance. State-of-the-Art Tech: Engage with the latest advancements in AI, machine learning .. read more
BengaluruMulya TechnologiesYesterday
.. take on responsibilities beyond the job description to accomplish team goals. "Hybrid work environment" As part of our commitment to the well-being and satisfaction of our employees, we have designed a comprehensive benefits package that includes: Competitive Compensation Package Restricted Stock Units .. read more
BengaluruAxiscadesYesterday
.. security/ reliability; - Advising cybersecurity leadership on all aspects of cyber operations and cyber security affecting security and critical infrastructure; WHAT YOU’LL NEED Basic: - Minimum of a Bachelor degree in a technological engineering or computer science; - Minimum of 5 years of related .. read more
BengaluruAcl DigitalYesterday
.. handling large datasets. Good to Have: Programming experience: Spark/PySpark, Kafka Data Lake and Workflow Management: AWS S3, AWS Glue, Delta Lake, AWS Lake Formation, Apache Airflow, Step Functions Data Formats and Storage: Parquet, Avro, Iceberg, RDF Advanced Data Technologies: Knowledge Graphs, AWS .. read more
BengaluruMulya TechnologiesToday
.. logic for complex SoCs (System-On-Chip) and CoWoS (Chip-On-Wafer-On-Substrate) designs. Experience in SoC and IP/Block level scan insertion and ATPG, simulation of zero delay and SDF annotated test sequences. Experience in scripting/reviewing SCAN/MBIST timing constraints. Developing DFT rule bases and .. read more
BengaluruDatabuzz LtdToday
.. Desired Characteristics CMS migration experience Experience in the Healthcare industry Manage deployments to staging and production with technology team .. Audience Manager Magento Campaign and working knowledge of Adobe Experience Manager Sites Assets Provide advancedlevel development and documentation skills .. read more
BengaluruEveriseToday
.. real-time translation and voice-based technologies. Technical Skills: Proficiency in product management tools, AI and voice technology, UX/UI design principles, and an understanding of API integrations. Familiarity with agile development methodologies. Knowledge of the latest trends and technologies .. read more
BengaluruMulya TechnologiesToday
.. or VHDL Nice to haves Knowledge of instruction dispatch and load/store units Knowledge of verification principles and coverage High-level knowledge of Linux operating system Knowledge of one object oriented language and scripting language Understanding of Agile development processes Experience with DevOps .. read more
BengaluruHerbalife International India Pvt. Ltd.Yesterday
.. experience. Having experience in Big 4 Audit Firm or Big 4 Audit Firm + Mfg/Retail Industry Experience Experience in Audits, Financial Statements, month close .. due dates as per Region/ Corporate guidelines. Responsible for compliance with SOX and IFC controls in respective areas. Work with GBS Finance team to .. read more
BengaluruTalentiserToday
.. ○ Experience working with cross-functional teams in an agile environment. ● Skills: ○ Strong strategic thinking and analytical skills. ○ Solid System Design skills to able to take a problem statement and conceptualize solutions for the same ○ Excellent communication and presentation abilities .. read more